Software

AI + ML

TSMC warns AI chip crunch will last another 18 months

Boss Mark Liu says silicon ready but advanced packaging isn't


Bad news for anyone looking to get their hands on Nvidia's top specced GPUs, such as the A100 or H100: it's not going to get any easier to source the parts until at least the end of 2024, TSMC has warned.

The problem, it seems, isn't that TSMC – which fabricates not just those GPUs for Nvidia but also components for AMD, Apple, and many others – can't make enough chips. Rather, a lack of advanced packaging capacity used to stitch the silicon together is holding up production, TSMC chairman Mark Liu told Nikkei Asia.

According to Liu, TSMC is only able to meet about 80 percent of demand for its chip on wafer on substrate (CoWoS) packaging technology. This is used in some of the most advanced chips on the market today – particularly those that rely on high-bandwidth memory (HBM) which is ideal for AI workloads.

Liu expects this is a temporary bottleneck in the production of machine-learning accelerators and that additional CoWoS capacity should come online within a year and a half. Incidentally, TSMC recently announced plans to expand its advanced packaging capacity in Taiwan with a $3 billion facility at the Tongluo Science Park in Miaoli County.

Until TSMC can bring additional capacity online, Nvidia's H100 and older A100 – which power many popular generative AI models, such as GPT-4 – are at the heart of this shortage. However, it's not just Nvidia. AMD's upcoming Instinct MI300-series accelerators – which it showed off during its Datacenter and AI event in June – make extensive use of CoWoS packaging technology.

AMD's MI300A APU is currently sampling with customers and is slated to power Lawrence Livermore National Laboratory's El Capitan system, while the MI300X GPU is due to start making its way into customers' hands in Q3.

We've reached out to AMD for comment on whether the shortage of CoWoS packaging capacity could impact availability of the chip and we'll let you know if we hear anything back.

It's worth noting that TSMC's CoWoS isn't the only packaging tech out there. Samsung, which is rumored to pick up some of the slack for the production of Nvidia GPUs, has I-Cube and H-Cube for 2.5D packaging and X-Cube for 3D packaging.

Intel, meanwhile, packages several of the chiplets used in its Ponte Vecchio GPU Max cards, but doesn't rely on CoWoS tech to stitch them together. Chipzilla has developed its own advanced packaging tech, which can work with chips from different fabs or process nodes. It's called embedded multi-die interconnect bridge (EMIB) for 2.5D packaging and Foveros for vertically stacking chiplets on top of one another. ®

Send us news
4 Comments

Intel slaps forehead, says I got it: AI PCs. Sell them AI PCs

People try to put us down, talkin' 'bout ML generation

FYI: Those fancy 'Google-designed' TPU AI chips had an awful lot of Broadcom help

And Meta's tapping up Big B too – it's big bucks for this silicon giant

AI startup Lamini bets future on AMD's Instinct GPUs

Oh MI word: In the AI race, any accelerator beats none at all

TSMC's outlook is so fuzzy it's reportedly stalling fab machine deliveries

SEMI predicts factory equipment spending will slide another 15% before rebounding in 2024

IRS using AI to catch rich people and tax-dodging corps

Plus: Google CEO says AI will be biggest tech shift in our lives, new official AI words on Dictionary.com

UK judge rates ChatGPT as 'jolly useful' after using it to help write a decision

PLUS: Coca-Cola's AI-designed drink to debut; chip startups struggle to compete with Nvidia as funding flees

Intel CTO suggests using AI to port CUDA code to – surprise! – Intel chips

This is about ending Nvidia's vendor lock-in, insists Greg Lavender

Colleges snub Turnitin's AI-writing detector over fears it'll wrongly accuse students

By the time they graduate, employers will be making them use LLMs anyway

A chip off the old block: The 200mm fab supply chain breaker

Southeast Asia, China spearheading factory capacity growth for foreseeable future

Intel thinks glass substrates are a clear winner in multi-die packaging

Don't get too excited, tech won't be ready until the end of the decade

TSMC gobbles up $430M slice of Intel's IMS Nanofab unit

Taiwanese also plot $100M investment in Arm IPO, x86 giant gets real about Thunderbolt 5

The future of the cloud sure looks like it'll be paved in even more custom silicon

You're probably using cloud providers bespoke chips already and not even know it